Kim Seon Deok
[Verilog] $display 본문
vi build
waveform 확인하기까지 세 단계로 구성된다.
1. xvlog : 베릴로그 문법 체크 및 컴파일
2. xelab : 링크과정
3. xsim : 실행 후 waveform으로 확인
vi clean
waveform을 확인하면 사용하지 않는 파일들이 생성되는데, 이를 정리해주는 역할을 한다.
vi (모듈이름).v
코드 작성 후 저장
./build
코드를 실행해 waveform을 확인하도록 함
프로그램 열리면 file → simulation waveform → New configuration → object 끌어오고 Run ▶ → waveform 확인
./clean
simulation 이후 불필요하게 생성된 파일들을 정리해줌
MobaXterm 상에서 hello_Word 출력
module hello_world;
initial begin // 한번 수행
$display("hello_World");
$finish; //시뮬레이션 종료
end // initial 종료
endmodule
$display
Verilog HDL에서 제공하는 system task 중 하나.
Waveform을 dump해서 시뮬레이션 결과를 확인하는 것은 상대적으로 많은 시간이 걸리는데,
$display를 사용하면
C언어의 printf처럼 터미널 상에서 helloworld를 출력해 빠르게 검증할 수 있다.
'Verilog' 카테고리의 다른 글
[Verilog] 주석, 수 표현 , 문자열, 식별자 (0) | 2022.12.06 |
---|---|
[Verilog] Verilog HDL Overview (0) | 2022.12.06 |
[Verilog] Clock & Testbench & DUT & Reset & Flip Flop (0) | 2022.11.30 |
[Verilog] FPGA PWM (0) | 2022.10.31 |
[Verilog] Multiplier8x8 (Mearly machine) (0) | 2022.10.28 |
Comments